Als «verilog» getaggte Fragen

Verilog ist eine Hardwarebeschreibungssprache (HDL) zur Modellierung elektronischer Systeme. Es wird am häufigsten beim Entwurf, der Verifizierung und der Implementierung digitaler Logikchips verwendet. Bitte markieren Sie auch [fpga], [asic] oder [verification]. Die Antworten auf viele Verilog-Fragen sind zielspezifisch.


3
Warum können Verzögerungen in Verilog nicht synthetisiert werden?
Ich habe immer gelesen, dass im RTL-Code deklarierte Verzögerungen niemals synthetisiert werden können. Sie sind nur für Simulationszwecke gedacht und moderne Synthesewerkzeuge ignorieren Verzögerungsdeklarationen im Code. Zum Beispiel: x = #10 y;wird als betrachtetx = y; vom Synthesewerkzeug . Was sind die Gründe, warum Verzögerungsdeklarationen in einer Hardwarebeschreibungssprache (z. B. …

4
Erkennen Sie Register, die nicht zurückgesetzt werden
Beim Schreiben von Verilog verwende ich verschiedene "Linters", die Fehler und Warnungen ausgeben. Dies sind mein Simulator (ModelSim), mein Compiler (Quartus II) sowie ein Linter (Verilator). Zusammen habe ich eine gute Abdeckung für häufige Fallstricke, wie z. B. Fehlanpassungen der Busgröße und abgeleitete Latches. Leider erkennt keines der drei Tools …
8 fpga  verilog 





4
Warum haben FPGAs Latches, wenn sie fast nie verwendet werden?
Diese Frage ist eine Folgefrage der bestehenden Frage: "Wann werden Latches besser verwendet als Flip-Flops in einem fpga, das beides unterstützt?" . Wenn die Verwendung von Latches in FPGAs auf seltenste oder seltenste Situationen beschränkt ist, warum haben FPGAs überhaupt Latches? Ich meine, die meisten FPGA-Designs verwenden es nicht. Warum …

1
Generieren Sie in Verilog eine n-Bit-Zufallszahl
Ich kann in Verilog leicht eine Zufallszahl von 32 Bit Breite erzeugen $random. Gibt es eine Möglichkeit, eine Zufallszahl von genau nBits zu generieren (sagen wir n = 70)? Ich denke, ich könnte viele 32-Bit-Zufallszahlen verketten und mich dann auf die erforderliche Anzahl von Bits beschränken, aber das scheint ein …
7 verilog 

2
Verilog UART Transmitter sendet Bytes außer Betrieb
Ich habe den folgenden Verilog-Code, der nach dem Drücken einer Taste nacheinander 8 Bytes an die serielle Schnittstelle sendet. Das Problem ist, dass die Bytes nicht in der richtigen Reihenfolge gesendet werden, was ich erwarten würde. Wenn ich zum Beispiel die Bytes 0xDE, 0xAD, 0xBE, 0xEF, 0xDE, 0xAD, 0xBE, 0xEF …
7 fpga  verilog  uart 

2
ASIC-Verfeinerung Muss ich alle möglichen Kombinationen überprüfen?
Ich mache derzeit eine ASIC Black Box-Überprüfung. Angenommen, ich habe ein Modul mit 200 Eingangsports mit jeweils 12 Bit Breite und einen Ausgangsport mit 64 Bit Breite. Sagen wir, es ist rein kombinatorisch im Inneren. [11:0] +------------+ inputport 0 ------/------> | | . -------------> | | [63:0] . -------------> | …
7 asic  lithium  microcontroller  opto-isolator  ttl  mains  accelerometer  sampling  fft  cellphone  mobile  sensor  analog  dc  photodiode  pnp  arduino  relay  solid-state-relay  audio  verilog  counter  synthesis  arduino  transistors  npn  digital-logic  linux  jtag  led  current  design  signal  power-supply  voltage-regulator  switch-mode-power-supply  atx  microcontroller  adc  multimeter  voltage-divider  vhdl  iphone  fuses  microcontroller  identification  microcontroller  avr  pwm  arduino  power  msp430  xbee  transistors  digital-logic  measurement  capacitance  microcontroller  programming  cortex-m3  audio  switches  analog  ac  amplifier  device  history  power  control-system  voltage  heat  hard-drive  cases  physical-design  digital-logic  voltage-measurement  xbee  pir  battery-charging  resistors  heat  fpga  dac  transformer  emc  shielding  power  fpga  programmable-logic  microcontroller  batteries  battery-charging  multimeter  repair  hdl  computer-architecture  connector  ethernet  pinout 


3
Wie kann ich dem bidirektionalen Port in Verilog einen Wert zuweisen?
Ich versuche, in Verilog einen bidirektionalen Port zu verwenden, damit ich Empfangsdaten über diesen senden kann. Mein Problem ist, dass beim Versuch, dem Port innerhalb einer Aufgabe einen Wert zuzuweisen, immer wieder eine Fehlermeldung angezeigt wird. Was ist der richtige Weg, um diesen Variablentypen einen Wert zuzuweisen? Mein Code lautet …
7 verilog  hdl 

4
Verschiedene Addierer-Implementierungen
Ich stelle eine ALU zusammen, die ich auf einem FPGA synthetisieren möchte. Der Carry-Look-Ahead-Addierer ist derjenige, den viele im Gegensatz zum Ripple-Carry-Addierer verwenden. Ein Gedanke kam mir jedoch in den Sinn. Die Ripple-Carry-Addierer, die ich zuvor zusammengestellt habe, haben einfach eine Reihe von Ein-Bit-Volladdierern, die miteinander verbunden sind. Was wäre, …

5
Übungsbasiertes Buch zum Erlernen von Verilog / vhdl?
Ich hatte vor, ein HDL zu lernen (vorzugsweise Verilog, da ich in den folgenden Semestern einen Kurs darin belegen muss). Mein ursprünglicher Plan war es, zuerst die Syntax zu lernen und dann alle digitalen Systeme zu implementieren, die ich in meinem Kurs für digitale Elektronik mit HDL studiert habe. Aber …
7 vhdl  verilog  hdl 
Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.