Als «hdl» getaggte Fragen

HDL (Hardware Description Language) ist eine Beschreibungssprache zur Beschreibung des Verhaltens digitaler Schaltungen. Beispiele sind Verilog, VHDL und ABEL.



2
RTL gegen HDL? Was ist der Unterschied
Was ist der Hauptunterschied zwischen RTL und HDL? Um ehrlich zu sein habe ich gesucht / gegoogelt, aber die Leute sind in ihren Meinungen gespalten. Ich erinnere mich an einen Spruch, der besagt, dass HDL die Computersprache ist, mit der eine digitale Schaltung beschrieben wird, und wenn sie synthetisierbar ist, …
24 fpga  hdl  rtl 

7
Wie lerne ich HDL?
Ich habe einen Kurs in Digital Design in diesem Semester und liebe es einfach. Jetzt weiß ich, dass der Großteil der Arbeit im Bereich Embedded System und Digital Design zunächst auf Computersimulatoren erledigt und dann mithilfe von Hardware implementiert wird. Also habe ich mich gefragt, wie ich HDL lernen soll. …
24 simulation  vhdl  verilog  hdl 

5
Warum sind abgeleitete Latches schlecht?
Mein Compiler beschwert sich über abgeleitete Latches in meinen kombinatorischen Schleifen ( always @(*), in Verilog). Mir wurde auch gesagt, dass gefolgerte Latches möglichst vermieden werden sollten. Was genau ist falsch an abgeleiteten Latches? Sie machen es sicherlich einfacher, kombinatorische Schleifen zu schreiben.
22 verilog  hdl  latch 

4
Was passiert, wenn ein FPGA „programmiert“ wird?
Soweit ich weiß, besteht der Programmiervorgang für ein FPGA aus zwei Teilen: Codiere die Hardwarebeschreibung in Bits, die das FPGA verstehen kann (dh schreibe etwas HDL und kompiliere es) Laden Sie die kompilierte HDL auf das FPGA. Meine Frage ist: "Was macht der FPGA mit dem kompilierten HDL?". Im Moment …
13 fpga  hdl 

6
Was ist die Motivation bei der Verwendung von Verilog oder VHDL über C?
Ich komme aus einem Programmier-Hintergrund und habe nicht zu viel mit Hardware oder Firmware rumgespielt (höchstens ein bisschen Elektronik und Arduino). Was ist die Motivation, Hardwarebeschreibungssprachen (HDL) wie Verilog und VHDL gegenüber Programmiersprachen wie C oder einigen Assemblys zu verwenden? Ist dieses Problem überhaupt eine Frage der Wahl? Ich habe …



4
SystemC vs HDLs
Ich bin derzeit an einem Universitätsprojekt zur Implementierung eines Prozessors eines vorhandenen Befehlssatzes beteiligt. Die Idee ist, dass ich am Ende des Projekts in der Lage sein sollte, dieses Design zu synthetisieren und es in einem FPGA auszuführen. Bis jetzt läuft alles gut. Ich habe vor einigen Tagen mit der …
9 verilog  vhdl  design  hdl  systemc 

5
Simulation des FPGA-Designs ohne die eigentliche Hardware
Ich bin neu im FPGA und nehme derzeit an der HDL-Klasse (insbesondere Verilog) teil. Ich habe ausreichende Kenntnisse im digitalen Design wie kombinatorischen und sequentiellen Schaltungen. Ich möchte ein Projekt erstellen, das dem in diesem YouTube-Video gezeigten ähnelt . Ich weiß auch, dass Xilinx ISE Logiksignale verarbeiten und Wellenformen simulieren …
9 fpga  hdl 

2
ASIC-Verfeinerung Muss ich alle möglichen Kombinationen überprüfen?
Ich mache derzeit eine ASIC Black Box-Überprüfung. Angenommen, ich habe ein Modul mit 200 Eingangsports mit jeweils 12 Bit Breite und einen Ausgangsport mit 64 Bit Breite. Sagen wir, es ist rein kombinatorisch im Inneren. [11:0] +------------+ inputport 0 ------/------> | | . -------------> | | [63:0] . -------------> | …
7 asic  lithium  microcontroller  opto-isolator  ttl  mains  accelerometer  sampling  fft  cellphone  mobile  sensor  analog  dc  photodiode  pnp  arduino  relay  solid-state-relay  audio  verilog  counter  synthesis  arduino  transistors  npn  digital-logic  linux  jtag  led  current  design  signal  power-supply  voltage-regulator  switch-mode-power-supply  atx  microcontroller  adc  multimeter  voltage-divider  vhdl  iphone  fuses  microcontroller  identification  microcontroller  avr  pwm  arduino  power  msp430  xbee  transistors  digital-logic  measurement  capacitance  microcontroller  programming  cortex-m3  audio  switches  analog  ac  amplifier  device  history  power  control-system  voltage  heat  hard-drive  cases  physical-design  digital-logic  voltage-measurement  xbee  pir  battery-charging  resistors  heat  fpga  dac  transformer  emc  shielding  power  fpga  programmable-logic  microcontroller  batteries  battery-charging  multimeter  repair  hdl  computer-architecture  connector  ethernet  pinout 

3
Wie kann ich dem bidirektionalen Port in Verilog einen Wert zuweisen?
Ich versuche, in Verilog einen bidirektionalen Port zu verwenden, damit ich Empfangsdaten über diesen senden kann. Mein Problem ist, dass beim Versuch, dem Port innerhalb einer Aufgabe einen Wert zuzuweisen, immer wieder eine Fehlermeldung angezeigt wird. Was ist der richtige Weg, um diesen Variablentypen einen Wert zuzuweisen? Mein Code lautet …
7 verilog  hdl 

5
Übungsbasiertes Buch zum Erlernen von Verilog / vhdl?
Ich hatte vor, ein HDL zu lernen (vorzugsweise Verilog, da ich in den folgenden Semestern einen Kurs darin belegen muss). Mein ursprünglicher Plan war es, zuerst die Syntax zu lernen und dann alle digitalen Systeme zu implementieren, die ich in meinem Kurs für digitale Elektronik mit HDL studiert habe. Aber …
7 vhdl  verilog  hdl 
Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.