Als «synthesis» getaggte Fragen

3
VHDL: ganze Zahlen für die Synthese?
Ich bin ein bisschen verwirrt, ob ich in VHDL ganze Zahlen für Synthesesignale und Ports usw. verwenden soll. Ich benutze std_logic auf höchster Ebene Ports, aber intern ich wurde überall lagen ganze Zahlen verwenden. Ich bin jedoch auf einige Verweise auf Personen gestoßen, die sagten, Sie sollten nur signierten / …
17 vhdl  synthesis 


2
Wie kann ich in VHDL "egal" -Signale angeben?
In Logic Design-Kursen haben wir alle gelernt, dass es möglich ist, eine Logikfunktion zu minimieren, beispielsweise mithilfe einer Karnaugh-Karte oder des Quine-McCluskey-Algorithmus . Wir haben auch erfahren, dass "Don't Care" -Werte das Minimierungspotential erhöhen. Nehmen Sie zum Beispiel eine Registerdatei. Die write_addressund write_dataSignale spielen keine Rolle, wann das write_enableSignal ist …

3
Generische kostenlose Verilog-Synthesetools?
Gibt es kostenlose oder Open-Source-Synthesetools, mit denen Verilog RTL in eine generische Gate-Netzliste konvertiert werden kann? (Bestehend aus generischen NAND-, NOR-, XOR-, D-Flops / Registern usw. Eine Optimierung ist nicht erforderlich.) Wenn nicht für die vollständige Sprache, wie wäre es dann mit einer "nützlichen" Teilmenge von RTL (über lediglich eine …

2
Wie wird eine VHDL-Variable mit Synthesewerkzeugen synthetisiert?
Ich kenne zwei Möglichkeiten, wie eine VHDL-Variable mit einem Synthesewerkzeug synthetisiert wird: Variable als kombinatorische Logik synthetisiert Unbeabsichtigt als Latch synthetisierte Variable (wenn eine nicht initialisierte Variable einem Signal oder einer anderen Variablen zugewiesen wird) Auf welche andere Weise kann eine VHDL-Variable synthetisiert werden? (Beispiel: Kann es als FF interpretiert …
9 vhdl  synthesis  rtl 

4
So erhalten Sie ein FPGA-Design, das auf jeden Fall auf der tatsächlichen Hardware funktioniert
Ich habe gerade angefangen, digitales Logikdesign mit FPGAs zu lernen, und habe viele Projekte erstellt. Meistens (da ich eine Art Noob bin) habe ich ein Design, das perfekt simuliert (Verhaltenssimulation), aber nicht richtig synthetisiert. Meine Frage lautet also: "Welche Entwurfsschritte kann ich in meinen Workflow integrieren, um sicherzustellen, dass ich …

2
ASIC-Verfeinerung Muss ich alle möglichen Kombinationen überprüfen?
Ich mache derzeit eine ASIC Black Box-Überprüfung. Angenommen, ich habe ein Modul mit 200 Eingangsports mit jeweils 12 Bit Breite und einen Ausgangsport mit 64 Bit Breite. Sagen wir, es ist rein kombinatorisch im Inneren. [11:0] +------------+ inputport 0 ------/------> | | . -------------> | | [63:0] . -------------> | …
7 asic  lithium  microcontroller  opto-isolator  ttl  mains  accelerometer  sampling  fft  cellphone  mobile  sensor  analog  dc  photodiode  pnp  arduino  relay  solid-state-relay  audio  verilog  counter  synthesis  arduino  transistors  npn  digital-logic  linux  jtag  led  current  design  signal  power-supply  voltage-regulator  switch-mode-power-supply  atx  microcontroller  adc  multimeter  voltage-divider  vhdl  iphone  fuses  microcontroller  identification  microcontroller  avr  pwm  arduino  power  msp430  xbee  transistors  digital-logic  measurement  capacitance  microcontroller  programming  cortex-m3  audio  switches  analog  ac  amplifier  device  history  power  control-system  voltage  heat  hard-drive  cases  physical-design  digital-logic  voltage-measurement  xbee  pir  battery-charging  resistors  heat  fpga  dac  transformer  emc  shielding  power  fpga  programmable-logic  microcontroller  batteries  battery-charging  multimeter  repair  hdl  computer-architecture  connector  ethernet  pinout 

Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.