Als «xilinx» getaggte Fragen

Ein beliebter Hersteller von FPGAs (Field Programmable Gate Arrays) und CPLDs (Complex Programmable Logic Devices).

8
Wie wähle ich ein FPGA aus?
Verschlossen . Diese Frage und ihre Antworten sind gesperrt, da die Frage nicht zum Thema gehört, aber von historischer Bedeutung ist. Derzeit werden keine neuen Antworten oder Interaktionen akzeptiert. Ich muss die digitale Signalverarbeitung auf 8 analogen Leitungen bei 10 kHz durchführen. Dies ist eine ziemlich anspruchsvolle Aufgabe, und ich …

8
Projekt zum Erlernen von VHDL
Ich bin ein EE-Student und kann [zumindest einfache] Programme in mehr Sprachen schreiben, als ich Finger habe. Ich habe gerade angefangen, VHDL zu lernen, und ich habe mich gefragt, was ein gutes Projekt wäre, um die Sprache und die relevanten Tools wirklich kennenzulernen? Ich habe Probleme damit, eines zu finden, …
16 fpga  xilinx  vhdl 

4
Liste der Xilinx-Dateiendungen (für ISE)
Ich habe Xilinx nach einer solchen Liste gefragt, aber es gibt keine vollständige Liste. Ich möchte sicherstellen, dass sich alle Eingabedateien in der Quellcodeverwaltung befinden und nicht alle Ausgabedateien. Dies ist mit 13.1-13.2 mit ISE und PlanAhead Einige der bereitgestellten Informationen sind die Liste der PAR-Ausgabedateien und die ISE Design …
15 xilinx  ise  planahead 

4
Wie arbeiten BJT-Transistoren im gesättigten Zustand?
Folgendes weiß ich über NPN-BJTs (Bipolar Junction Transistors): Der Basis-Emitter-Strom wird am Kollektor-Emitter HFE-mal verstärkt, so dass Ice = Ibe * HFE Vbeist die Spannung zwischen Basis-Emitter und liegt, wie bei jeder Diode, normalerweise bei 0,65V. Ich erinnere mich aber nicht daran Vec. Wenn Vbeniedriger als der Mindestschwellenwert ist, ist …

1
Kann ich differentielle I / O-Pins von FPGA als Hochgeschwindigkeitskomparator verwenden?
Hochgeschwindigkeitskomparatoren sind ziemlich teuer und Geschwindigkeit ist das, was FPGAs sehr gut können. Auf der anderen Seite haben FPGAs (in meinem Fall: XC3S400) differentielle Pins in jeder Bank, deren Spannungen verglichen werden (zumindest denke ich!). Sie haben auch Vref für Single-Ended-Standards, die als Komparator dienen können. Ich möchte wissen, ob …

4
Verwenden Sie SVN mit Xilinx Vivado?
Ich habe gerade angegeben, Vivado in einem neuen Projekt zu verwenden und möchte die Projektdateien unter SVN stellen. Vivado scheint alle Projektdateien unter dem Projektnamen zu erstellen (sagen wir proj1): /<path to the project>/proj1/ proj1.xpr proj1.srcs/ constrs_1/ new/ const1.xdc proj1.runs/ proj1.data/ proj1.cache/ Meine Frage ist, was sind die Dateien, die …
13 fpga  xilinx 

4
FPGA Firmware Design: Wie groß ist zu groß?
Ich habe eine besonders große Signalverarbeitungstransformation, die von Matlab nach VHDL portiert werden muss. Es erfordert definitiv eine Art von Ressourcenteilung. Ein bisschen Berechnung gab mir Folgendes: 512 Fuß von 64 Punkten 41210 Multiplikations-Additions-Operationen Wenn man bedenkt, dass das größte Virtex 6-FPGA ~ 2000 DSP48E-Blöcke hat, weiß ich, dass ich …
12 fpga  vhdl  xilinx 


3
FPGA, erste Schritte
Nun, dies ist eine Fortsetzung meiner Frage zum FPGA hier . Ich habe mich schließlich für einen Digilent Atlys mit einem Spartan 6-FPGA entschieden. Ich habe noch keine Erfahrung mit FPGAs, obwohl ich einige Arbeiten mit Mikrocontrollern durchgeführt habe. Ich habe die letzten Tage damit verbracht, Datenblätter des FPGA durchzulesen, …
11 fpga  xilinx  spartan 

2
Was ist ein "Half Latch" in einem FPGA?
In einem Artikel über strahlungsharte FPGAs bin ich auf diesen Satz gestoßen: "Ein weiteres Problem bei Virtex-Geräten sind halbe Latches. Manchmal werden in diesen Geräten halbe Latches für interne Konstanten verwendet, da dies effizienter ist als die Verwendung von Logik." Ich habe noch nie von einem FPGA-Geräteprimitiv gehört, das als …
10 fpga  vhdl  xilinx  radiation 

4
FPGA: hoch oder runter zählen?
Ich lerne, ein FPGA zu verwenden (Papilio Development Board, das ein xilinx spartan3e hat, mit vhdl). Ich muss einen eingehenden Impuls durch eine (fest codierte) Zahl teilen. Ich kann 3 Optionen sehen - ungefähr als Pseudocode (am Beispiel von 10 Zählungen): Initialisierung auf 0, bei Erhöhung der Eingangsanstiegsflanke um 1, …
10 fpga  vhdl  xilinx  papilio 


1
Simulation eines einfachen Prüfstands mit einem synthetisierten ROM-Kern
Ich bin völlig neu in der Welt der FPGAs und dachte, ich würde mit einem sehr einfachen Projekt beginnen: einem 4-Bit-7-Segment-Decoder. Die erste Version, die ich rein in VHDL geschrieben habe (es ist im Grunde eine einzige Kombination select, keine Uhren erforderlich) und es scheint zu funktionieren, aber ich würde …

4
So erhalten Sie ein FPGA-Design, das auf jeden Fall auf der tatsächlichen Hardware funktioniert
Ich habe gerade angefangen, digitales Logikdesign mit FPGAs zu lernen, und habe viele Projekte erstellt. Meistens (da ich eine Art Noob bin) habe ich ein Design, das perfekt simuliert (Verhaltenssimulation), aber nicht richtig synthetisiert. Meine Frage lautet also: "Welche Entwurfsschritte kann ich in meinen Workflow integrieren, um sicherzustellen, dass ich …

3
FPGA Logic Gate Count
Ich habe ein FPGA-Board gefunden, das mir gefallen hat. Es wird ein Xilinx Spartan 6 LX45 verwendet. Als ich zum Datenblatt für die Spartan 6-Serie ging , hieß es nur, dass es 43.661 Logikzellen gab. Wie viele Tore entspricht das? Oder wie würde ich die Anzahl der Gesamtgatter aus der …

Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.