Wie viele Zyklen benötigt eine 64-Bit-Gleitkomma-Multiplikation oder -Division mit doppelter Genauigkeit, wenn ein reguläres FPGA wie Xilinx Spartan 3 oder Virtex 5 verwendet wird? Soweit ich weiß, verfügt das FPGA nicht über eine feste FPU, und Sie müssen eine mit den Standard-IEEE-Bibliotheken oder anderen Materialien erstellen. Dies bedeutet, dass es …
Ich habe ein Projekt, das 34 Makrozellen eines Xilinx Coolrunner II verbraucht. Ich bemerkte, dass ich einen Fehler hatte und verfolgte ihn wie folgt: assign rlever = RL[0] ? 3'b000 : RL[1] ? 3'b001 : RL[2] ? 3'b010 : RL[3] ? 3'b011 : RL[4] ? 3'b100 : RL[5] ? 3'b101 …
Ich arbeite seit den 90er Jahren mit MCUs und habe mich kürzlich mit den Chips der Spartan6-Serie von Xilinx in die FPGA-Szene gewagt. Unter der Annahme eines einfachen Fabrikautomationsdesigns mit Sensoren und Motoren und einiger Intelligenz, um alles miteinander zu verbinden, auf welchem Gerätetyp könnte ich das Design schneller und …
Normalerweise initialisiere ich Statusregister meiner FSMs durch Angabe eines Anfangswertes in meinem VHDL-Code, sodass ich nach dem Start des konfigurierten FPGA keinen Rücksetzimpuls benötige. Das folgende Beispiel zeigt dies durch einen "Ringzähler", der nur alle Zustandsregister zusammenführt: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter_init is port ( clock : …
Diese Frage ist eine Folgefrage der bestehenden Frage: "Wann werden Latches besser verwendet als Flip-Flops in einem fpga, das beides unterstützt?" . Wenn die Verwendung von Latches in FPGAs auf seltenste oder seltenste Situationen beschränkt ist, warum haben FPGAs überhaupt Latches? Ich meine, die meisten FPGA-Designs verwenden es nicht. Warum …
Ich versuche, einen einfachen MACC zum Laufen zu bringen, aber er macht unerwartete Dinge. Die Multiplikation funktioniert nicht. 00001 * 00001 gibt 00000 aus library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity macc is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; en : in STD_LOGIC; A …
Ich möchte sicherstellen, dass die Eingabedateien in die Quellcodeverwaltung eingecheckt werden, damit ich (oder andere) ein Design erstellen, neu erstellen, verzweigen / ändern kann. Bei PlanAhead werden jedoch für Eingabe- und Ausgabedateien dieselben Suffixe verwendet. Ja, ich habe Xilinx in einem WebCase gefragt, aber keine gute Antwort erhalten. Ich wünsche …
We use cookies and other tracking technologies to improve your browsing experience on our website,
to show you personalized content and targeted ads, to analyze our website traffic,
and to understand where our visitors are coming from.
By continuing, you consent to our use of cookies and other tracking technologies and
affirm you're at least 16 years old or have consent from a parent or guardian.