Als «xilinx» getaggte Fragen

Ein beliebter Hersteller von FPGAs (Field Programmable Gate Arrays) und CPLDs (Complex Programmable Logic Devices).

5
Wie schnell wird eine 64-Bit-Multiplikation oder -Division auf einem FPGA ausgeführt?
Wie viele Zyklen benötigt eine 64-Bit-Gleitkomma-Multiplikation oder -Division mit doppelter Genauigkeit, wenn ein reguläres FPGA wie Xilinx Spartan 3 oder Virtex 5 verwendet wird? Soweit ich weiß, verfügt das FPGA nicht über eine feste FPU, und Sie müssen eine mit den Standard-IEEE-Bibliotheken oder anderen Materialien erstellen. Dies bedeutet, dass es …


2
Vergleichen Sie die Implementierung eines einfachen Automatisierungsdesigns auf einer MCU mit einer FPGA / CPLD
Ich arbeite seit den 90er Jahren mit MCUs und habe mich kürzlich mit den Chips der Spartan6-Serie von Xilinx in die FPGA-Szene gewagt. Unter der Annahme eines einfachen Fabrikautomationsdesigns mit Sensoren und Motoren und einiger Intelligenz, um alles miteinander zu verbinden, auf welchem ​​Gerätetyp könnte ich das Design schneller und …

2
Muss ich mein FPGA-Design nach dem Start zurücksetzen?
Normalerweise initialisiere ich Statusregister meiner FSMs durch Angabe eines Anfangswertes in meinem VHDL-Code, sodass ich nach dem Start des konfigurierten FPGA keinen Rücksetzimpuls benötige. Das folgende Beispiel zeigt dies durch einen "Ringzähler", der nur alle Zustandsregister zusammenführt: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter_init is port ( clock : …

4
Warum haben FPGAs Latches, wenn sie fast nie verwendet werden?
Diese Frage ist eine Folgefrage der bestehenden Frage: "Wann werden Latches besser verwendet als Flip-Flops in einem fpga, das beides unterstützt?" . Wenn die Verwendung von Latches in FPGAs auf seltenste oder seltenste Situationen beschränkt ist, warum haben FPGAs überhaupt Latches? Ich meine, die meisten FPGA-Designs verwenden es nicht. Warum …

1
Multiplikation in VHDL
Ich versuche, einen einfachen MACC zum Laufen zu bringen, aber er macht unerwartete Dinge. Die Multiplikation funktioniert nicht. 00001 * 00001 gibt 00000 aus library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity macc is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; en : in STD_LOGIC; A …
7 vhdl  xilinx 

Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.