Als «intel-fpga» getaggte Fragen

4
Mit beiden Kanten einer Uhr
Ich programmiere einen Altera Cyclone IV mit Verilog und Quartus II. In meinem Design möchte ich beide Flanken einer Uhr verwenden, damit ich die Taktteilung durch einen ungeraden Faktor mit einem Tastverhältnis von 50% durchführen kann. Hier ist ein Ausschnitt aus meinem Code: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or …

3
Was ist ein Zeitversatz und warum kann er negativ sein?
Mein HDL-Compiler (Quartus II) generiert Timing-Berichte. Darin haben die Knoten eine Spalte "Taktversatz". Die einzige Definition des Zeitversatzes, die ich gefunden habe, ist in der TimeQuest-Dokumentation (siehe Seite 7-24): Verwenden Sie den set_clock_uncertaintyBefehl , um die Taktunsicherheit oder den Versatz für Übertragungen von Uhr zu Uhr manuell festzulegen . Wenn …

2
Muss ich mein FPGA-Design nach dem Start zurücksetzen?
Normalerweise initialisiere ich Statusregister meiner FSMs durch Angabe eines Anfangswertes in meinem VHDL-Code, sodass ich nach dem Start des konfigurierten FPGA keinen Rücksetzimpuls benötige. Das folgende Beispiel zeigt dies durch einen "Ringzähler", der nur alle Zustandsregister zusammenführt: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter_init is port ( clock : …


4
Warum haben FPGAs Latches, wenn sie fast nie verwendet werden?
Diese Frage ist eine Folgefrage der bestehenden Frage: "Wann werden Latches besser verwendet als Flip-Flops in einem fpga, das beides unterstützt?" . Wenn die Verwendung von Latches in FPGAs auf seltenste oder seltenste Situationen beschränkt ist, warum haben FPGAs überhaupt Latches? Ich meine, die meisten FPGA-Designs verwenden es nicht. Warum …
Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.