Als «verilog» getaggte Fragen

Verilog ist eine Hardwarebeschreibungssprache (HDL) zur Modellierung elektronischer Systeme. Es wird am häufigsten beim Entwurf, der Verifizierung und der Implementierung digitaler Logikchips verwendet. Bitte markieren Sie auch [fpga], [asic] oder [verification]. Die Antworten auf viele Verilog-Fragen sind zielspezifisch.






7
Wie lerne ich HDL?
Ich habe einen Kurs in Digital Design in diesem Semester und liebe es einfach. Jetzt weiß ich, dass der Großteil der Arbeit im Bereich Embedded System und Digital Design zunächst auf Computersimulatoren erledigt und dann mithilfe von Hardware implementiert wird. Also habe ich mich gefragt, wie ich HDL lernen soll. …
24 simulation  vhdl  verilog  hdl 

6
Begrenzungs- / Synchronisationstechniken für serielle Protokolle
Da die asynchrone serielle Kommunikation auch heutzutage unter elektronischen Geräten weit verbreitet ist, sind viele von uns meiner Meinung nach von Zeit zu Zeit auf eine solche Frage gestoßen. Stellen Sie sich ein elektronisches Gerät Dund einen Computer vor, PCdie mit einer seriellen Leitung (RS-232 oder ähnlich) verbunden sind und …
24 serial  communication  protocol  brushless-dc-motor  hall-effect  hdd  scr  flipflop  state-machines  pic  c  uart  gps  arduino  gsm  microcontroller  can  resonance  memory  microprocessor  verilog  modelsim  transistors  relay  voltage-regulator  switch-mode-power-supply  resistance  bluetooth  emc  fcc  microcontroller  atmel  flash  microcontroller  pic  c  stm32  interrupts  freertos  oscilloscope  arduino  esp8266  pcb-assembly  microcontroller  uart  level  arduino  transistors  amplifier  audio  transistors  diodes  spice  ltspice  schmitt-trigger  voltage  digital-logic  microprocessor  clock-speed  overclocking  filter  passive-networks  arduino  mosfet  control  12v  switching  temperature  light  luminous-flux  photometry  circuit-analysis  integrated-circuit  memory  pwm  simulation  behavioral-source  usb  serial  rs232  converter  diy  energia  diodes  7segmentdisplay  keypad  pcb-design  schematics  fuses  fuse-holders  radio  transmitter  power-supply  voltage  multimeter  tools  control  servo  avr  adc  uc3  identification  wire  port  not-gate  dc-motor  microcontroller  c  spi  voltage-regulator  microcontroller  sensor  c  i2c  conversion  microcontroller  low-battery  arduino  resistors  voltage-divider  lipo  pic  microchip  gpio  remappable-pins  peripheral-pin-select  soldering  flux  cleaning  sampling  filter  noise  computers  interference  power-supply  switch-mode-power-supply  efficiency  lm78xx 

5
Warum sind abgeleitete Latches schlecht?
Mein Compiler beschwert sich über abgeleitete Latches in meinen kombinatorischen Schleifen ( always @(*), in Verilog). Mir wurde auch gesagt, dass gefolgerte Latches möglichst vermieden werden sollten. Was genau ist falsch an abgeleiteten Latches? Sie machen es sicherlich einfacher, kombinatorische Schleifen zu schreiben.
22 verilog  hdl  latch 


3
Unterschied zwischen blockierender und nicht blockierender Zuweisung Verilog
Ich habe diese Seite http://www.asic-world.com/verilog/verilog_one_day3.html gelesen, als ich auf Folgendes gestoßen bin: Normalerweise müssen wir Flip-Flops zurücksetzen. Jedes Mal, wenn die Uhr von 0 auf 1 wechselt (Posedge), prüfen wir, ob ein Reset aktiviert ist (synchroner Reset), und fahren dann mit der normalen Logik fort. Wenn wir genau hinschauen, sehen …
15 verilog 

2
Wie heißt dieser Operator in Verilog „+:“?
Ich gehe gerade einen Verilog-Testfall durch und habe eine Aussage gefunden assign XYZ = PQR_AR[44*8 +: 64]; Was bedeutet der Operator "+:"? Ich habe versucht, dies auf Google zu finden, aber keine relevante Antwort erhalten.
14 verilog 

4
Wie arbeiten BJT-Transistoren im gesättigten Zustand?
Folgendes weiß ich über NPN-BJTs (Bipolar Junction Transistors): Der Basis-Emitter-Strom wird am Kollektor-Emitter HFE-mal verstärkt, so dass Ice = Ibe * HFE Vbeist die Spannung zwischen Basis-Emitter und liegt, wie bei jeder Diode, normalerweise bei 0,65V. Ich erinnere mich aber nicht daran Vec. Wenn Vbeniedriger als der Mindestschwellenwert ist, ist …


1
Verilog: XOR alle Vektorsignale zusammen
Angenommen, mir wird ein Vektor wire large_bus[63:0]der Breite 64 gegeben. Wie kann ich die einzelnen Signale zusammen XOR-verknüpfen, ohne sie alle auszuschreiben: assign XOR_value = large_bus[0] ^ large_bus[1] ^ ... ^ large_bus[63] ? Ich bin besonders daran interessiert, dies für Vektoren zu tun, bei denen die Breite durch a angegeben …
13 verilog 

6
Was ist die Motivation bei der Verwendung von Verilog oder VHDL über C?
Ich komme aus einem Programmier-Hintergrund und habe nicht zu viel mit Hardware oder Firmware rumgespielt (höchstens ein bisschen Elektronik und Arduino). Was ist die Motivation, Hardwarebeschreibungssprachen (HDL) wie Verilog und VHDL gegenüber Programmiersprachen wie C oder einigen Assemblys zu verwenden? Ist dieses Problem überhaupt eine Frage der Wahl? Ich habe …

Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.