Kostenlose IDE für VHDL und Verilog [geschlossen]


Antworten:


30

Erstens: Willkommen in der Welt des Logikdesigns.

2. Sie müssen den "Designflow" (wichtiges Schlagwort!) Verstehen. Der Designflow in VHDL / Verilog ist:

  1. Denken Sie an ein Design, das Sie implementieren möchten, z. B. einen Addierer
  2. Implementieren Sie das Design in VHDL / Verilog
  3. Implementieren Sie eine Testbench in VHDL / Verilog
  4. Verwenden Sie die Testbench zur Simulation Ihres Designs (ab Schritt 2)
  5. Wenn dies funktioniert und die Simulation erfolgreich ist, versuchen Sie, das Design zu synthetisieren
  6. mache alle anderen Sachen, wie Karte, Ort und Route
  7. Erstellen Sie eine .bit-Datei
  8. Verwenden Sie Ihren JTAG, um Ihr FPGA zu programmieren
  9. PROFITIEREN! (hoffnungsvoll)

Wie Sie sehen, sind dies also VIELE Schritte. Für einige von ihnen sind Open-Source-Tools verfügbar. Nur kostenlos wäre ziemlich langweilig, also werde ich versuchen, Ihnen zu zeigen, was der Markt hat.

  • Für Schritt 1) ​​ist Libre / openOffice Calc, Papier und ein Bleistift am wichtigsten. Wenn Sie große FSMs haben, ist Qfsm möglicherweise das wichtigste
  • Schritt 2) Du brauchst einen guten Editor, schnapp dir einen, den du magst und alles ist in Ordnung. Es gibt einige, die auf VHDL spezialisiert sind, das Beste ist Sigasi (Sie müssen dieses googeln, Spam-Schutz). Für diese Aufgabe können Sie bereits eine der großen Hersteller-IDEs verwenden, aber vertrauen Sie mir, das ist NICHT das, was Sie wollen
  • Schritt 3) -> Schritt 2
  • Schritt 4) Hier einige Möglichkeiten: Xilinx ISim, (Altera) Modelsim, (Lattice) Aldec, ghdl in Kombination mit GTKWave. Ich denke, es gibt mehr Simulatoren, aber dies sollte für den Anfang ausreichen. Alle diese Tools sind nur Simulatoren, obwohl sie eine vollständige IDE enthalten (mit Ausnahme von ghdl).
  • Schritt 5) Tun Sie sich selbst einen Gefallen und verwenden Sie das vom Hersteller Ihres FPGA bereitgestellte Tool. Wenn Sie genug Erfahrung haben, können Sie auch Icarus Verilog ausprobieren .
  • Schritt 6 und 7) Keine andere Chance als die Verwendung der vendortools
  • Schritt 8) Das tun so viele Tools, sogar die Tools, die von den FPGA-Anbietern bereitgestellt werden. ich benutze gerne commandlinestuff, daher verwende ich weiterhin einige obskure blinkende programme, aber vendortools sind auch in ordnung.

hoffe ich konnte helfen


1
Was ist genau falsch daran, die Editoren zu verwenden, die in den Tools des Herstellers enthalten sind? Sie wirken einfach, aber funktional.
Drxzcl

ja, sie sind sehr einfach, aber zumindest die Linux-Versionen von xilinx und altera haben nicht einmal eine einfache Blockbearbeitung. Dies ist sehr frustrierend, wenn Sie Blöcke auskommentieren möchten, da vhdl keine Blockbefehle hat
milch

1
Ich bin auf der Windows-Version und es gibt eine "Kommentarblock" -Option im Kontextmenü. Für andere
Blockbearbeitungsvorgänge

6

Jede Programmier-IDE oder jeder Texteditor kann tatsächlich mit Hardwarebeschreibungssprachen verwendet werden, und jede anständige Person sollte in der Lage sein, eine Toolchain für die Kompilierung (Zielsimulation oder Hardware) zu starten. Als Ergebnis lautet die eigentliche Frage, um etwas zu erreichen, "welche freien HDL-Compiler verfügbar sind" - mit Antworten wie Icarus Verliog, GHDL usw. Koppeln Sie diese mit Emacs oder was auch immer, und Sie können loslegen.

Wenn jedoch viele Leute nach einer "IDE" fragen, ist das, was sie meinen, etwas Glattes, Bereitschaftsbereites, oft mit einigen kontextbezogenen Hinweisen / Hilfen. Die allgemeine Antwort darauf ist die eingeschränkte "Webversion" der firmeneigenen Toolsets, die von großen FPGA-Unternehmen wie Xilinx (ISE) oder Altera (Quartus) angeboten werden. Sie müssen keine Hardware des jeweiligen Unternehmens besitzen, um Entwürfe zu kompilieren oder mit einer beliebigen (normalerweise größen- oder zeitlich begrenzten) Lizenz für einen darin enthaltenen Simulator zu spielen. Das heißt, die 50-150 US-Dollar für die Anschaffung eines einfachen FPGA-Boards können die Erfahrung "realer" machen und Sie manchmal überraschenden Unterschieden zwischen dem, was in einem Simulator und einem tatsächlichen Schaltkreis passiert, aussetzen (was im Allgemeinen aus Dingen resultiert, die Sie haben) vernachlässigt vollständig anzugeben,


5

Beste Option: Siagsi . Entweder eigenständig oder als Plug-In für Eclipse (sie sehen sehr ähnlich aus). Die kostenlose Version verfügt nicht über Code-Refactoring-Funktionen und ähnliches, sondern reduziert sich auf eine "VHDL-IDE" - genau das, was Sie wollen.


4

Ich benutze derzeit die kostenlose IDE von Xilinx. Sie können es hier herunterladen (vorausgesetzt, Sie leben nicht in Nordkorea usw.): http://www.xilinx.com/support/download/index.htm

Derzeit heißt es "ISE Design Suite", wurde aber im Laufe der Jahre von Xilinx in "ISE Design Suite" umbenannt. Es ist zwar kostenlos, kann aber nicht auf wirklich großen oder sehr modernen Xilinx-FPGAs verwendet werden. Ich verwende es gerade auf einem Spartan6 LX45-Design, dem Digilent Atlys-Board (das derzeit 200 US-Dollar für Akademiker und 349 US-Dollar für Außenstehende kostet): http://www.digilentinc.com/Products/Catalog.cfm?NavPath = 2.400 & Cat = 10 & FPGA

Der andere FPGA-Hauptanbieter ist Altera. Sie haben auch Testboards und eine kostenlose IDE namens "Quartus": http://www.altera.com/products/software/sfw-index.jsp


3

Mit regulären IDEs bleiben Sie bei dem, was sie Ihnen bieten. Aber mit Emacs 24 können Sie es an Ihre bizarren Wünsche anpassen! Ich benutze es mit den Modi Prelude und Highlight Indentation . Guck mal wie purty!

Bildbeschreibung hier eingeben

Emacs ist nicht gerade eine IDE, aber warum nicht eine?

  • Versionskontrolle
  • Hotkeys zum Ausführen Ihres externen Compilers, Lint Tools, Simulators, Make Files usw.
  • Kann die Code-Faltung hinzufügen
  • Hotkeys zum Einfügen von allgemeinen Codeblöcken
  • Automatisches Kommentieren
  • Sie haben es wahrscheinlich schon!

3

Hier sind ein paar freie IDEs:

SystemVerilog, Verilog, VHDL und andere HDLs

  • EDA Playground ist eine webbrowser-basierte IDE, die einen Editor mit Syntaxhervorhebung und einer Auswahl an Simulatoren bietet. Da es über einen Webbrowser ausgeführt wird, muss nichts installiert werden. Es ist gut für kleine Prototypen, aber nicht für große Projekte.

SystemVerilog und Verilog

  • SVEditor ist ein Eclipse-Plugin. Sie müssen Ihren eigenen Simulator bereitstellen. Außerdem wird jeweils eine Datei indiziert, was restriktiver ist, als es viele kommerzielle Simulatoren zulassen. Es wird empfohlen, eine einzige Datei der obersten Ebene für die Indizierung zu haben.

1

Für RTL-Design ist keine vollständige IDE verfügbar.

Beginnen Sie am besten mit emacs oder vi mit einem VHDL- oder Verilog-Syntax-Plugin und ordnen Sie einige Funktionstasten neu zu, um grundlegende Versionskontroll-Funktionen zu kompilieren, auszuführen und auszuführen. Bare-Bones-Code-Vervollständigung ist in den Editoren integriert, sie sind jedoch nicht wirklich VHDL / Verilog-fähig.




0

Ich habe kürzlich einen recht guten VHDL / Verilog-Editor ( http://www.vide-software.at ) gefunden, der ein Plugin für Microsoft Visual Studio ist. Wenn Sie ein Student sind, ist es kostenlos. Ansonsten kostet eine Lizenz nur ca. 30EUR.

Wenn Sie Visual Studio kennen und mögen, wird Ihnen dieses Plugin gefallen! Es ist auch ziemlich raffiniert, da es das Umbenennen, Finden von Referenzen, Definieren, Vervollständigen von Code usw. unterstützt. Die meisten (freien) Editoren, die ich zuvor ausprobiert habe, hatten diese Funktionen nicht.

Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.