Als «papilio» getaggte Fragen

4
FPGA: hoch oder runter zählen?
Ich lerne, ein FPGA zu verwenden (Papilio Development Board, das ein xilinx spartan3e hat, mit vhdl). Ich muss einen eingehenden Impuls durch eine (fest codierte) Zahl teilen. Ich kann 3 Optionen sehen - ungefähr als Pseudocode (am Beispiel von 10 Zählungen): Initialisierung auf 0, bei Erhöhung der Eingangsanstiegsflanke um 1, …
10 fpga  vhdl  xilinx  papilio 
Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.