Als «vhdl» getaggte Fragen

VHDL (VHSIC (Very High Speed ​​Integrated Circuit) Hardwarebeschreibungssprache) ist eine Hardwarebeschreibungssprache, die in der elektronischen Entwurfsautomatisierung zum Beschreiben und Entwerfen digitaler Systeme wie feldprogrammierbarer Gate-Arrays und integrierter Schaltkreise verwendet wird.

8
Projekt zum Erlernen von VHDL
Ich bin ein EE-Student und kann [zumindest einfache] Programme in mehr Sprachen schreiben, als ich Finger habe. Ich habe gerade angefangen, VHDL zu lernen, und ich habe mich gefragt, was ein gutes Projekt wäre, um die Sprache und die relevanten Tools wirklich kennenzulernen? Ich habe Probleme damit, eines zu finden, …
16 fpga  xilinx  vhdl 


3
VHDL: Benennung und Interpretation der Architektur
Hinweis: Ich verwende Xilinx ISE und besitze eine FPGA-Karte (mit Schaltern und Lichtern usw.). Bisher habe ich einige einfache Projekte zusammen gehackt. Gleichzeitig lese ich mehrere Tutorials, um eine Grundlage für das zu schaffen, was ich tue. Ich habe verschiedene Entitäten und ihre Architekturen gesehen, die in den Referenzmaterialien erwähnt …

4
Wie arbeiten BJT-Transistoren im gesättigten Zustand?
Folgendes weiß ich über NPN-BJTs (Bipolar Junction Transistors): Der Basis-Emitter-Strom wird am Kollektor-Emitter HFE-mal verstärkt, so dass Ice = Ibe * HFE Vbeist die Spannung zwischen Basis-Emitter und liegt, wie bei jeder Diode, normalerweise bei 0,65V. Ich erinnere mich aber nicht daran Vec. Wenn Vbeniedriger als der Mindestschwellenwert ist, ist …



4
FPGA Firmware Design: Wie groß ist zu groß?
Ich habe eine besonders große Signalverarbeitungstransformation, die von Matlab nach VHDL portiert werden muss. Es erfordert definitiv eine Art von Ressourcenteilung. Ein bisschen Berechnung gab mir Folgendes: 512 Fuß von 64 Punkten 41210 Multiplikations-Additions-Operationen Wenn man bedenkt, dass das größte Virtex 6-FPGA ~ 2000 DSP48E-Blöcke hat, weiß ich, dass ich …
12 fpga  vhdl  xilinx 

7
Cheap FPGA dev board [geschlossen]
Geschlossen. Diese Frage ist nicht zum Thema . Derzeit werden keine Antworten akzeptiert. Möchten Sie diese Frage verbessern? Aktualisieren Sie die Frage so dass es beim Thema für Elektrotechnik Stapel Börse. Geschlossen vor 4 Jahren . Ich möchte mit FPGA beginnen, habe aber noch nie zuvor mit FPGA gearbeitet. Ich …
12 fpga  vhdl  jtag 

6
Buchempfehlungen zum FPGA [geschlossen]
Geschlossen. Diese Frage ist nicht zum Thema . Derzeit werden keine Antworten akzeptiert. Möchten Sie diese Frage verbessern? Aktualisieren Sie die Frage so dass es beim Thema für Elektrotechnik Stapel Börse. Geschlossen vor 2 Jahren . Welche Buchtitel würden Sie empfehlen, um mit FPGAs und VHDL zu beginnen? edit Ich …
12 fpga  books  vhdl 

1
Wie debugge ich rote Signale in ModelSIM?
Ich muss eine Zustandsmaschine entwerfen, die nur NAND-Gatter für den kombinatorischen Teil und D-Flip-Flops für die sequentielle Logik verwendet. Alles sollte mit einer Uhr von 1 GHz / 53 laufen. Bevor Sie mich jetzt mit "Wir machen Ihre Hausaufgaben nicht für Sie" angreifen, möchte ich Ihnen sagen, dass ich alles …

2
Wie kann ich in VHDL "egal" -Signale angeben?
In Logic Design-Kursen haben wir alle gelernt, dass es möglich ist, eine Logikfunktion zu minimieren, beispielsweise mithilfe einer Karnaugh-Karte oder des Quine-McCluskey-Algorithmus . Wir haben auch erfahren, dass "Don't Care" -Werte das Minimierungspotential erhöhen. Nehmen Sie zum Beispiel eine Registerdatei. Die write_addressund write_dataSignale spielen keine Rolle, wann das write_enableSignal ist …


2
Unterschied zwischen If-else- und Case-Anweisung in VHDL
Ich möchte verstehen, wie verschiedene Konstrukte in VHDL-Code in RTL synthetisiert werden. Kann mir jemand den Unterschied zwischen If-Else- Konstrukt- und Case-Anweisungskonstrukten eines Prozesses in VHDL hinsichtlich der Art und Weise erklären, wie der Code vom Synthesetool in die RTL-Schaltung abgeleitet wird? Betrachten Sie den Fall mehrerer verschachtelter if-else und …
11 vhdl  code-design  rtl 

4
Wann ist es ordentlicher, VECTOR-Darstellungen gegen INTEGERs zu verwenden?
Im Kommentarthread zu einer Antwort auf diese Frage: Falsche Ausgaben in der VHDL-Entität wurde angegeben: "Mit ganzen Zahlen haben Sie keine Kontrolle oder keinen Zugriff auf die interne Logikdarstellung im FPGA, während Sie mit SLV Tricks wie die effiziente Nutzung der Übertragskette ausführen können." Unter welchen Umständen war es für …
11 fpga  vhdl 

12
Verwenden Sie heutzutage VHDL?
Ich bin ein Student der Elektrotechnik und studiere die Hardwarebeschreibungssprache VHDL. Ich habe bei Google nach einer IDE gesucht (ich bin auf einem Mac), aber diese Sprache scheint ziemlich tot zu sein. Hier ist meine Frage: Wird VHDL in meinem zukünftigen Job als Elektrotechniker für mich nützlich sein? Benutzt du …
11 vhdl 

Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.