Als «simulation» getaggte Fragen

Über Werkzeuge zur Simulation von Schaltkreisen. Geben Sie das verwendete Werkzeug an.


3
Verteilung der Bauteilwerte mit vorgegebener Toleranz?
Angenommen, ich habe eine Sammlung von Teilen mit demselben Nennwert und einer gewissen Toleranz, z. B. 50 Ohm 1% Toleranzwiderstände. Welche Verteilung der tatsächlichen Komponentenwerte kann ich erwarten? Ich kann mir mehrere Definitionen vorstellen: Die Teile folgen einer Normalverteilung mit einer Standardabweichung von 0,5 Ohm 95% der Teile liegen innerhalb …

6
Wie zeichnet man Strom in ngspice?
Ich möchte den Strom und die Leistung in ngspice darstellen. Wenn ich zum Beispiel bei Spannung die Spannung an Knoten 1 zeichnen möchte, würde ich Folgendes verwenden: plot v(1) Das Problem ist, wenn ich i (1) versuche, wird der Vektor nicht erkannt. Könnte jemand ein paar Beispiele dafür geben?



2
Können Sie eine Modelsim-Testbench mit externen Stimuli verbinden?
Ich arbeite in einem Team, das sowohl Treibersoftware als auch FPGA-Entwicklung durchführt. Die FPGA-Simulation wird in Modelsim durchgeführt und die Treibersoftware wird in C geschrieben. Um das Integrationsrisiko zu minimieren, würde ich gerne die Interaktion zwischen den beiden Hälften unseres Produkts modellieren können, bevor es auf Hardware gebracht wird. Ich …


3
Kostenlose VerilogA-Simulatoren [geschlossen]
Geschlossen. Diese Frage ist nicht zum Thema . Derzeit werden keine Antworten akzeptiert. Möchten Sie diese Frage verbessern? Aktualisieren Sie die Frage so dass es beim Thema für Elektrotechnik Stapel Börse. Geschlossen vor 5 Jahren . Es gibt viele kostenlose SPICE- und Verilog-Simulatoren wie LTSPICE oder TINA oder sogar WinSPICE. …

10
Der schnellste Weg, um Integer Mod 10 und Integer Divide 10 zu bekommen?
Wenn eine Hardware keine Modul- oder Divisionsoperationen unterstützt, sind viel mehr CPU-Zyklen erforderlich, um den Modul / die Division durch Software zu simulieren. Gibt es eine schnellere Möglichkeit, Division und Modul zu berechnen, wenn der Operand 10 ist? In meinem Projekt muss ich häufig den Ganzzahlmodul 10 berechnen. Insbesondere arbeite …

2
Verbraucht ein idealer Kondensator Strom?
Auf mehreren Schaltungssimulatoren habe ich Kondensatoren mit einer "Power" -Figur gesehen. Ich habe mich auch gefragt, wie kapazitive Netzteile funktionieren. Verbraucht ein idealer Kondensator ohne Widerstand, ohne Leckage und ohne Induktivität Strom? Siehe Bild: Link zur Simulation dieses Schaltplans



4
Modular Analog Circuit Formula Solver?
Ich habe darüber nachgedacht, eine Datenbank / ein Programm zu erstellen, um den Aufbau analoger Schaltkreise zu vereinfachen. Ich wollte sicherstellen, dass es nichts Vergleichbares gibt, zu dem ich beitragen kann, anstatt mich die Mühe zu machen, etwas von Grund auf neu zu erstellen. Mal sehen, ob ich in Worten …



Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.