Gibt es einen VHDL-Simulator?


Antworten:


7

Es gibt einen großen Unterschied zwischen der IDE (Entwicklungsumgebung) und dem Simulator. Die IDE wird möglicherweise ohne integrierten Simulator und der Simulator ohne GUI geliefert.

Wenn Sie nach einem Simulator suchen , finden Sie hier eine Liste der kostenlosen VHDL-Simulatoren mit Download-Links. Die meisten Simulatoren funktionieren auch unter Linux.


2

'Altera Quartus 2'. . .das ist was Sie suchen. . es ist so mächtig


Ja, es ist nützlich. Aber ich möchte einen kostenlosen Simulator.
Kesco

Altera liefert eine kostenlose (kostenlose) Version von ModelSim aus. Wenn Sie kostenlos wollen (wie bei Bier), bleiben Sie im Grunde bei GHDL.
Philippe

0

In der Vergangenheit waren die Elektroingenieure meistens in einer Windows-Umgebung zu Hause und zahlten auch viel für ihre Arbeitsumgebung. Dies kann zu einer Erklärung beitragen, warum wir nicht mehr Open Source IDEs für die FPGA-Entwicklung sehen. Mit den erweiterten Funktionen von FPGAs und dem Trend, Linux auf ihnen auszuführen, wirkt sich dies natürlich auch auf die Entwicklungsplattformen aus. Vielen Dank für diese Frage, die auf ihre eigene Weise dazu beiträgt, die FPGA Linux-Benutzerbasis zu erweitern.

Bei Projekten mit normaler Größe, bei denen Ihr Code mit normalen Texteditoren angemessen bearbeitet werden kann, bin ich versucht zu sagen, dass Debian / Ubuntu Linux als Ganzes bereits eine vollständig kostenlose (wie in Sprache und Bier) integrierte Entwicklungsumgebung ist: die yosys-Pipeline wurde so verpackt, dass Sie wirklich vom Verilog-Quellcode zu einem Flash-Lattice-FPGA gelangen, siehe http://www.debian.org/FPGA/Lattice . Unter https://github.com/forflo/yodl gibt es eine entstehende VHDL-Front für yosys, die ich freiwillig verpacke, wenn ich Ihre Hilfe beim Testen bekomme.

Nebenbei bemerkt, die yosys-Pipeline funktioniert in allen Linux-Architekturen. Besonders hervorzuheben sind ARM und all die kleinen Geräte wie der Raspberry Pi.

Durch die Nutzung unserer Website bestätigen Sie, dass Sie unsere Cookie-Richtlinie und Datenschutzrichtlinie gelesen und verstanden haben.
Licensed under cc by-sa 3.0 with attribution required.